Coventor Blog

To receive the latest ideas about Semiconductor and MEMS technology, please subscribe to our newsletter.

Read our blog to obtain the latest industry news and insights. Search by Topic to find specific articles of interest:

The Impact of Metal Gate Recess Profile on Transistor Resistance and Capacitance

Introduction In logic devices such as FinFETs (field-effect transistors), metal gate parasitic capacitance can negatively impact electrical performance. One way to reduce this parasitic capacitance is to optimize the metal […]

A Deposition and Etch Technique to Lower Resistance of Semiconductor Metal Lines

Introduction Cu’s resistivity depends on its crystal structure, void volume, grain boundaries and material interface mismatch, which becomes more significant at smaller scales.  The formation of Cu wires is traditionally […]

A Comparative Evaluation of DRAM bit-line spacer integration schemes

Introduction With decreasing dynamic random-access memory (DRAM) cell sizes, DRAM process development has become increasingly difficult. Bit-line (BL) sensing margins and refresh times have become problematic as cell sizes have […]

Using Machine Learning to Develop a Real-Time Model of a MEMS Disk Resonating Gyroscope

                        Deep Learning Reduced Order Modeling to Predict Auto-Parametric Resonance                   […]

An Explanation of the Metaverse and 5 MEMS Technologies Solutions That Will Soon Help Make It Happen

The next wave of communication and social technology is set to move beyond 2D screens toward a more immersive experience relying on augmented and virtual reality.  This new platform is […]

Modeling of Line and Surface Roughness in Semiconductor Processing

Line edge roughness (LER) can occur during the exposure step in lithography [1-2]. Similarly, etch and deposition process steps can leave a roughness on semiconductor surfaces. LER is a stochastic […]

The Other Side of the Wafer: The Latest Developments in Backside Power Delivery

At the beginning of my career in semiconductor equipment, the backside of the wafer was a source of anxiety. In one memorable instance in my early career, several wafers flew […]

Understanding Q-Factors in Gas Encapsulated MEMS Inertial Sensors

Here in the Application Team at Coventor, we are always working to improve our solutions to real-world MEMS design problems. We have a continuing interest in packaging that encapsulates MEMS […]

In situ Metrology for Etch Endpoint Detection

Introduction The semiconductor industry has been focused on scaling and developing advanced technologies using advanced etch tools and techniques. With decreasing semiconductor device dimensions and increases in process complexity, the […]

Creating Airgaps to Reduce Parasitic Capacitance in FEOL

Reducing the parasitic capacitance between the gate metal and the source/drain contact of a transistor can decrease device switching delays. One way to reduce parasitic capacitance is to reduce the […]

Automotive MEMS accelerometer design verification – A real life example

Standard Finite Element (FE) models, especially those that incorporate multiple physical domains, consist of detailed representations of a device that include a large number of Degrees of Freedom (DoF).  The […]

Pathfinding by process window modeling: Advanced DRAM capacitor patterning process window evaluation using virtual fabrication

With continuous device scaling, process windows have become narrower and narrower due to smaller feature sizes and greater process step variability [1]. A key task during the R&D stage of […]

Modeling an Actual Foucault’s Pendulum – Design Implications for MEMS Gyroscopes

Introduction Conventional MEMS gyroscopes are miniaturized devices that measure angular velocity. The performance of current MEMS gyroscopes limits them to stabilization applications, due to bias, scale errors and noise.  If […]

How does Line Edge Roughness (LER) affect Semiconductor Performance at Advanced Nodes ?

Introduction BEOL metal line RC delay has become a dominant factor that limits chip performance at advanced nodes [1]. Smaller metal line pitches require a narrower line CD and line-to-line […]

How Can You Use a GDS Layout File to Quickly Create a Simulation Ready MEMS Model?

Creating a fully coupled multiphysics model is one of the holy grails of MEMS modeling. If you can automate the creation of a MEMS model, it opens the door to […]

3D NAND Virtual Process Troubleshooting and Investigation with SEMulator3D

Modern semiconductor processes are extremely complicated and involve thousands of interacting individual process steps. During the development of these process steps, roadblocks and barriers are often encountered in the form […]

CoventorMP 2.1 is released!

We are pleased to announce the release of CoventorMP 2.1, which includes MEMS+ 7.1 and CoventorWare 11.1. New features streamline device creation and make simulation results easier to load and […]

Measuring Gravity using Gravimeters and MEMS

Paul A.M. Dirac, an English physicist famous for his work in quantum mechanics, once stated “Pick a flower on Earth and you move the farthest star.”  This statement may be […]

There is Plenty of Room at the Top: Imagining Miniaturized Electro-Mechanical Switches in Low-Power Computing Applications

The First Computers:  Electro-Mechanical Computing The first computers were built using electro-mechanical components, unlike today’s modern electronic systems. Alain Turing’s cryptanalysis multiplier and Konrad Zuse’s  Z2 were invented and built […]

Accelerating Semiconductor Module Development using Shared Process Libraries

One of the fastest ways to predict semiconductor manufacturing final results is by adding together the results of performing individual process steps. Unfortunately, this prediction might ignore critical defects that […]

Using Genetic Algorithms in MEMS Design

Introduction Genetic algorithms belong to a family of algorithms called “stochastic algorithms”.  These algorithms are used to find the optimal solution to a mathematically difficult real-world problem, such as the […]

BEOL integration for the 1.5nm node and beyond

Introduction As we approach the 1.5nm node and beyond, new BEOL device integration challenges will be presented.  These challenges include the need for smaller metal pitches, along with support for […]

3D Solid Model Creation using Process and Layout

What is 3D Solid Modeling? You’ve certainly heard of “3D Solid Modeling”.  The term first originated in the verification engineering community and has now become nearly ubiquitous. Mainstream industries like […]

Accelerating Semiconductor Process Development Using Virtual Design of Experiments

Design of Experiments (DOE) is a powerful concept in semiconductor engineering research and development.   DOEs are sets of experiments used to explore the sensitivity of experimental variables and their effect […]

Shaking Your World: Using MEMS Seismometers to Monitor Earthquakes

In any given year, we expect about 16 major earthquakes worldwide, 15 of which are in the magnitude 7 range and one in the magnitude 8.0 range or greater [1]. […]

A Fantastic Voyage into Semiconductor Devices

When I was a small child, I remember watching a 1966 American sci-fi adventure movie called “Fantastic Voyage”  (the Korean title was “Micro Squad”) on an old black-and-white TV. The […]

Digital Twins for MEMS Product Development

A digital twin is a digital representation of a real-world item, and includes software objects or models that represents these real-world items.   In MEMS product development, digital twins (or software […]

The Effect of Pattern Loading on BEOL Yield and Reliability during Chemical Mechanical Planarization

CMP (Chemical mechanical planarization) is required during semiconductor processing of many memory and logic devices.  CMP is used to create planar surfaces and achieve uniform layer thickness during semiconductor manufacturing, […]

Make your Voice Heard: The Latest Advances in MEMS Voice Sensors

In a previous blog post published in September 2020, I stated that MEMS microphone demand is being driven by customer-requested audio features such as stereo sound, voice recognition, sound directivity, […]

Understanding Electrical Line Resistance at Advanced Semiconductor Nodes

When evaluating shrinking metal linewidths in advanced semiconductor devices, bulk resistivity is not the sole materials property for deriving electrical resistance. At smaller line dimensions, local resistivity is dominated by […]

Using Process Modeling to Enhance Device Uniformity during Self-Aligned Quadruple Patterning

Despite the growing interest in EUV lithography, self-aligned quadruple patterning (SAQP) still holds many technical advantages in pattern consistency, simplicity, and cost.  This is particularly true for very simple and […]

Announcing CoventorMP 2.0

We are very pleased to announce the release of our latest MEMS design software, CoventorMP® 2.0!  With the new release, automated parametric design variation for simulation in both MEMS+® and […]

Evaluating the Impact of STI Recess Profile Control on Advanced FinFET Device Performance Using Virtual Fabrication

Profile variation is one of the most important problems during semiconductor device manufacturing and scaling.  These variations can degrade both chip yield and device performance.   Virtual fabrication can be used […]

Performing High Accuracy Capacitance Analysis using SEMulator3D

Netlist Extraction is an important SEMulator3D® capability that allows a user to extract parasitic resistance and capacitance for different line and via segments during process modeling. This detailed electrical netlist […]

The Latest Techniques that Provide Insight into Package Stress and Temperature Deformation of a MEMS-based Gyroscope

Transducers 2021 is a prestigious international conference that reviews advances in Solid-State Sensors, Actuators and Microsystems.   At this year’s conference, there were 391 papers presented from 29 different countries with […]

The Best and Fastest Ways to Learn MEMS Design

In 2003, Benedetto Vigna mused that a key to successful MEMS design was “lateral thinking of ‘Leonardo-like’ MEMS engineers … who have a breadth of skills and knowledge in technological […]

Using a Virtual DOE to Predict Process Windows and Device Performance of Advanced FinFET Technology

Introduction With continuing FinFET device process scaling, micro loading control becomes increasingly important due to its significant impact on yield and device performance [1-2]. Micro-loading occurs when the local etch […]

Overcoming RF MEMS Switch Development Challenges

There are a wide range of promising applications for RF MEMS switches, including use in tunable filters, antennas, tactile radio, and RF ID [1].  Why it is so difficult to […]

Using Virtual Process Libraries to Improve Semiconductor Manufacturing

People think that semiconductor process simulation libraries should be developed using a perfect theoretical background that is strongly supported by empirical data. This might be true in academic research, where […]

RF MEMS Switches: Understanding their Operation, Advantages, and Future

RF MEMS switches are small, micromechanical switches that have low power consumption and can be produced using conventional MEMS fabrication technology. They are similar to a light switch in a […]

Overview of Piezoelectric MEMS: Principles, Applications and the Future

What is Piezoelectricity? Piezoelectricity is a property of certain materials to become electrically polarized under strain and stress. This phenomenon has been studied extensively since it was first discovered in […]

Connecting SEMulator3D to Third-Party Design and Analysis Software Using Meshing

In the semiconductor modeling world, no simulation software can do everything. That is, each has its own strengths – process modeling, lithography analysis, and circuit design being a few examples. […]

Improving Your Understanding of Advanced Inertial MEMS Design

Micro-Electrical-Mechanical Systems (MEMS) based inertial sensors are used measure acceleration and rotation rate. These sensors are integrated into units to measure motion, direction, acceleration or position, and can be found […]

Overcoming Design and Process Challenges in Next-Generation SRAM Cell Architectures

Static Random-Access Memory (SRAM) has been a key element for logic circuitry since the early age of the semiconductor industry. The SRAM cell usually consists of six transistors connected to […]

The future of FinFETs at 5nm and beyond: Using combined process and circuit modeling to estimate the performance of the next generation of semiconductors

While contact gate pitch (GP) and fin pitch (FP) scaling continues to provide higher performance and lower power to FinFET platforms, controlling RC parasitics and achieving higher transistor performance at […]

Why is MEMS+ a crucial new solution for teaching MEMS Design to the next generation of engineers

Guest Author: Jérôme Juillard, Ph.D., Professor, CentraleSupélec (Paris-Saclay University) Every year, I teach different aspects of sensors and MEMS design to about a hundred students.  The pupils range from first […]

An Introduction to Virtual Semiconductor Process Evaluation

How can virtual process libraries accelerate semiconductor process development? Process engineers develop ideal solutions to engineering problems using a logical theoretical framework combined with logical engineering steps.  Unfortunately, many process […]

Process Window Optimization of DRAM by Virtual Fabrication

New integration and patterning schemes used in 3D memory and logic devices have created manufacturing and yield challenges.  Industrial focus has shifted from the scaling of predictable unit processes in […]

FinFETs Give Way to Gate-All-Around

When they were first commercialized at the 22 nm node, finFETs represented a revolutionary change to the way we build transistors, the tiny switches in the “brains” of a chip. As […]

Micro Loading and its Impact on Device Performance: A Wiggling Active Area Case in an Advanced DRAM Process

In a DRAM structure, the charging and discharging process of capacitor-based memory cells is directly controlled by the transistor [1].With transistor sizes approaching the lower limits of physical achievability, manufacturing […]

Accelerating the Development of Dry Etch Processes during Feature Dependent Etch

In dry etching, the trajectory of accelerated ions is non-uniform and non-vertical, due to collisions with gas molecules and other random thermal effects (Figure 1). This has an impact on […]

An Explanation of New MEMS Microphone Technology and Design

What is a MEMS Microphone? MEMS (microelectromechanical systems) microphones are micro-scale devices that provide high fidelity acoustic sensing and are small enough to be included in a tightly-integrated electronic product. […]

Understanding Advanced Packaging Technologies and Their Impact on the Next Generation of Electronics

Chip packaging has expanded from its conventional definition of providing protection and I/O for a discrete chip, to encompassing a growing number of schemes for interconnecting multiple types of chips. […]

Process Model Calibration: The Key to Building Predictive and Accurate 3D Process Models

Process engineers and integrators can use virtual process modeling to test alternative process schemes and architectures without relying on wafer-based testing. One important aspect of building an accurate process model […]

Enabling Better MEMS from Concept to High Volume Manufacturing

Lam Research® is one of the top equipment suppliers in the semiconductor ecosystem. As a trusted, collaborative partner to the world’s leading semiconductor companies, Lam Research is a fundamental enabler […]

Introducing Nanosheets into Complementary-Field Effect Transistors (CFET)

UNDERSTANDING THE BENEFITS AND CHALLENGES OF A NEW, NEXT-GENERATION SEMICONDUCTOR ARCHITECTURE In our November 2019 blog [1], we discussed using virtual fabrication (SEMulator3D®) to benchmark different process integration options for […]

Identifying and Preventing Process Failures at 7nm

Using failure bin classification, yield prediction and process window optimization to predict and enhance yield Device yield is highly dependent upon proper process targeting and variation control of fabrication steps, […]

Cutting-Edge MEMS Process, Device and Simulation Technologies: IEEE MEMS 2020 Conference Review

The IEEE MEMS conference was held in Vancouver during January 2020. We attended the conference to meet with our customers and to see what new developments are being made in […]

Exploring the Impact of EUV Resist Thickness on Via Patterning Uniformity using a Litho/Etch Modeling Platform

Via patterning at advanced nodes requires extremely low critical dimension (CD) values, typically below 30nm. Controlling these dimensions is a serious challenge, since there are many inherent sources of variation […]

The Next Technology Frontier in MEMS Gyroscopes

In MEMS technology development, it is always exciting to see the next technology frontier, the border of the known and the unknown. Talent and hard work (along with ingenuity) can […]

Identifying DRAM Failures Caused by Leakage Current and Parasitic Capacitance

Leakage current has been a leading cause of device failure in DRAM design, starting with the 20nm technology node. Problems with leakage current in DRAM design can lead to reliability […]

An Introduction to Semiconductor Process Modeling: Process Specification and Rule Verification

Semiconductor process engineers would love to develop successful process recipes without the guesswork of repeated wafer testing. Unfortunately, developing a successful process can’t be done without some work. This blog […]

A Study of Next Generation CFET Process Integration Options

Decision making is a critical step in semiconductor technology development. R&D semiconductor engineers must consider different design and process options early in the development of a next-generation technology. Established techniques […]

New Product Announcement – CoventorMP 1.2

We are very pleased to announce the release of our latest MEMS design software, CoventorMP 1.2. This release has been enriched to improve the accuracy of device models and extend the […]

How FinFET Device Performance is Affected by Epitaxial Process Variations

As the need to scale transistors to ever-smaller sizes continues to press on technology designers, the impact of parasitic resistance and capacitance can approach or even outpace other aspects of […]

Advanced Patterning Techniques for 3D NAND Devices

Driven by Moore’s law, memory and logic semiconductor manufacturers pursue higher transistor density to improve product cost and performance [1]. In NAND Flash technologies, this has led to the market […]

Controlling Variability using Semiconductor Process Window Optimization

To ensure success in semiconductor technology development, process engineers must set the allowed ranges for wafer process parameters. Variability must be controlled, so that final fabricated devices meet required specifications. […]

Challenges and Solutions for Silicon Wafer Bevel Defects during 3D NAND Flash Manufacturing

As semiconductor technology scales down in size, process integration complexity and defects are increasing in 3D NAND flash, partially due to larger stack deposits and thickness variability between the wafer […]

EDA and Foundry Collaboration Speeds MEMS Sensor Design

New MEMS-based products are constantly emerging, fueled by the Internet of Things (IoT), autonomous driving, smart manufacturing and healthcare applications. The MEMS pressure sensor market is no exception to this […]

Improving SAQP Patterning Yield using Virtual Fabrication and Advanced Process Control

Advanced logic scaling has created some difficult technical challenges,  including a requirement for highly dense patterning. Imec recently confronted this challenge, by working toward the use of Metal 2 (M2) […]

Innovative Solutions to Increase 3D NAND Flash Memory Density

3D NAND flash memory has enabled a new generation of non-volatile solid-state storage useful in nearly every electronic device imaginable. 3D NAND can achieve data densities exceeding those of 2D NAND […]

Analyzing Worst-Case Silicon Photonic Device Performance Through Process Modeling and Optical Simulation

This blog is a summary of a technical paper given at an SPIE Photonics conference. Read the full paper here. Background Silicon photonics is an emerging and rapidly-expanding design platform […]

3D NAND: Challenges beyond 96-Layer Memory Arrays

Unlike scaling practices in 2D NAND technology, the direct way to reduce bit costs and increase chip density in 3D NAND is by adding layers. In 2013, Samsung shipped the […]

Everything You Need to Know about FDSOI Technology – Advantages, Disadvantages, and Applications of FDSOI

This blog is a summary of a technical and business review of FDSOI technology. Read the full paper here. Over the past decades, transistor feature size has continuously decreased, leading […]

Practical Methods to Overcome the Challenges of 3D Logic Design

What should you do If you don’t have enough room on your floor to store all your old boxes? Luckily, we live in a 3D world, and you can start […]

LiDAR: How MEMS is enabling the new trend in spatial sensing

You’ve probably heard a lot about LiDAR. It stands for Light Detection and Ranging, and it’s playing a central role in many emerging technologies like autonomous vehicles, robotics and home […]

Future Directions in MEMS Technology: Results from the 2018 MEMS Design Contest

At CDNLive in 2015, we joined with representatives from Cadence and X-FAB to discuss the possibility of sponsoring a MEMS design contest. At the time, the sponsoring companies were developing […]

When Will Self-Driving Cars Become a Reality?

Self-driving cars have been all the rage in both the trade press and popular press in recent years. I prefer the term “autonomous vehicles” which more broadly captures the possibilities, […]

Advanced 3D Design Technology Co-Optimization for Manufacturability

Yield and cost have always been critical factors for both manufacturers and designers of semiconductor products. It is a continuous challenge to meet targets of both yield and cost, due […]

The Challenge of Modeling the Interaction between MEMS Inertial Sensors and their Packaging

Simulation of Thermal Effects on MEMS Performances MEMS inertial sensors, such as Accelerometers and Gyroscopes, have been commercially successful in the consumer marketplace, where reduced size and cost are more […]

Improving Patterning Yield at the 5 nm Semiconductor Node

Engineering decisions are always data-driven.  As scientists, we only believe in facts and not in intuition or feelings. At the manufacturing stage, the semiconductor industry is eager to provide data […]

How To Build A Better MEMS Microphone

Here at Coventor, we are seeing a lot of interest in simulating noise, particularly for condenser microphones. With any transducer noise reduction is always a plus, and with microphones there […]

Comparing MEMS and the RMS Titanic: Some Thoughts from the IEEE MEMS 2018 Conference

How are MEMS and Large Ships Alike? MEMS 2018 was held in Belfast, Northern Ireland this year, on the site where the RMS Titanic was built. On exhibit was the […]

What the Experts Think: Delivering the Next 5 Years of Semiconductor Technology

Coventor recently sponsored an expert panel discussion at IEDM 2017 to discuss how we might advance the semiconductor industry into the next generation of technology.  The panel discussed alternative methods […]

Delivering the Next 5 Years of Semiconductor Technology

New, advanced semiconductor processing and architectural technologies take years to perfect and put into production. In the meantime, semiconductor customers continue to demand faster, smaller and higher functioning devices. Semiconductor […]

Silicon Photonics: Solving Process Variation and Manufacturing Challenges

As silicon photonics manufacturing gains momentum with additional foundry and 300mm offerings, process variation issues are coming to light. Variability in silicon processing affects the waveguide shape and can result […]

Are Good Engineers Born or Bred?

I’ve been doing a lot of interviewing as we grow our engineering team. I often say that hiring is the most important part of my job and also the hardest […]

The Future of MEMS Design: Making MEMS Design More Like CMOS Design

MEMS-based component suppliers want to rapidly ramp their designs into high-volume production. This demand is driving MEMS suppliers to focus on ways to more efficiently re-use established process steps, stacks […]

3D Model-Based Process Control for the Future of Smart Manufacturing

David Fried, CTO of Coventor, gave a presentation entitled “3D Model-Based Process Control for the Future of Smart Manufacturing” at SEMICON West 2017. Listen to this presentation to gain an understanding […]

CMOS Image Sensors (CIS): Past, Present & Future

Over the last decade, CMOS Image Sensor (CIS) technology has made impressive progress. Image sensor performance has dramatically improved over the years, and CIS technology has enjoyed great commercial success […]

Photoresist shape in 3D: Understanding how small variations in photoresist shape significantly impact multi-patterning yield

Things were easy for integrators when the pattern they had on the mask ended up being the pattern they wanted on the chip. Multi-patterning schemes such as Self-Aligned Double Patterning […]

MEMS Microphones – A Bright Spot among Commoditized Consumer Sensors

MEMS microphones have emerged as a bright spot among consumer sensors, which in general are going through a rapid commoditization and profit-squeezing trend. To understand what’s driving the MEMS microphone […]

Semiconductor Process Development: Finding a Faster Way to Profitability

Building a chip fabrication facility requires billions of dollars in investment for land, buildings, processing equipment, chemical and hazardous material safety, not to mention the deployment of hundreds of highly […]

The Value of Integrating Process Models with TCAD Simulation (and some tips on how to do it)

Nowadays, novel semiconductor technologies have brought complex process flows to the fab. These process flows are needed to support the manufacturing of advanced 3D semiconductor structures. It can be helpful […]

BEOL Barricades: Navigating Future Yield, Reliability and Cost Challenges

Coventor recently assembled an expert panel at IEDM 2016, to discuss changes to BEOL process technology that would be needed to continue dimensional scaling to 7 nm and lower. We […]

Achieving the Vision of Silicon Photonics Processing

With the increasing need for faster data transfer rates, the transition from electrical to optical signaling in data processing is inevitable.   Copper cabling cannot keep up with the upcoming data […]

Design Process Technology Co-Optimization for Manufacturability

Yield and cost have always been critical factors for both manufacturers and designers of semiconductor products.   Meeting yield and product cost targets is a continuous challenge, due to new device […]

Coventor Makes History (Museum)

Organizers of the 53rd Design Automation Conference (DAC) hosted an art show to highlight the creativity and artistry that goes into much of the work in the electronics industry.  Coventor […]

IMEC Partner Technical Week Review

IMEC Partner Technical Week Review In March 2016, Coventor was invited to the biannual Partner Technical Week (PTW) at IMEC in Leuven, Belgium. IMEC, a world-leading research group in nanotechnology, […]

Introducing SEMulator3D Version 5.2

The SEMulator3D software platform has once again been updated and improved with significantly more features, making it the industry leader in semiconductor virtual fabrication.  In order to view massively large […]

The Future of MEMS Sensor Design and Manufacturing

There are three industry trends that I believe will have major implications for motion sensor design and manufacturing and, more generally, for other types of high-volume MEMS such as microphones: […]

Will directed self-assembly pattern 14nm DRAM?

But first, more generally, will directed self-assembly (DSA) join Extreme Ultraviolet (EUV) Lithography and next generation multi-patterning techniques to pattern the next memory and logic technologies?  Appealing to the wisdom […]

MEMS+ 6.0 takes on MEMS/IoT integration challenges

We announced the release of the latest version of our MEMS+ design platform this week, MEMS+ 6.0. This release contains many new features and performance improvements that existing customers will appreciate as well as new capabilities that address key challenges of integrating MEMS with IoT devices. There’s far too much to talk about in one blog, so we will focus this one on why MEMS are critical to IoT and the key MEMS/IoT integration challenges MEMS+ 6.0 addresses. Subsequent blogs will expand on each of these challenges and our solutions.

SEMulator3D 5.0 – It’s ALMOST HERE!!!!

I said I’d follow up with another blog about new features and capabilities SEMulator3D 5.0… and I’m running out of time. The Gold release is less than a week away!!In […]

Collaboration Brings Fast Analysis to Acoustic Resonator Design

After some lively conversations with the top researchers in MEMS acoustic resonators during the 2014 Sensors and Actuators Workshop (familiarly known to the MEMS community as “Hilton Head”) we were […]

Don’t miss new Cloud-Based 3D Design-Technology Checking (3D-DTC) demo at DAC!

DAC 2015 is in full swing in San Francisco this week, and Coventor is there again. But this year, we’re also doing a special joint demonstration with Silicon Cloud International. […]

SEMulator3D 5.0 – It’s COMING!!!

This is my favorite part of the year at Coventor: We’re about to do another MAJOR release of SEMulator3D. Developers are sprinting to the finish line, customers are clamoring for […]

MEMS System Co-Design at DTIP

The 17th edition of the Symposium on Design, Test, Integration & Packaging of MEMS and MOEMS (DTIP 2015) took place this year in Montpellier, Southern France, on April 28-30. This […]

A Lego Block Approach to MEMS Design

The Smart Systems Integration conference took place in Copenhagen on March 10-12, 2015. We were invited to join a panel discussion with the title “Towards a “Lego block principle” for […]

Defect Evolution in 3D NAND Flash

3D NAND Flash has become a hot topic in non-volatile memory these days. While planar NAND flash is still going strong, it has been increasingly difficult to scale planar technology […]

Modeling as a Foundation for TSensors Acceleration

I gave a talk with the same title as this blog at the TSensors Summit held in La Jolla, California on November 12-13. The ‘T’ in TSensors stands for Trillion […]

Breakthrough MEMS Models for System and IC Designers

We just rolled out MEMS+ 5.0 with lots of new capabilities for our users. I discussed some of the new features, support of scanning mirrors in particular, in a previous […]

The Unsung Heroes of CoventorWare

The CoventorWare 2014 release has been announced and is now available to customers. I presided over the first release of CoventorWare in 2001 and eight major releases since then with […]

Semicon West attracts the entire value chain to address 3D chip manufacturing challenges

Semicon West is one of the iconic conferences in the semiconductor industry and annually attracts the key movers and shakers who are involved with how we are going to keep […]

Mid summer release of SEMulator3D adds more accuracy for deposition & CMP

Today we officially released SEMulator3D 2014.100. Typically, I wouldn’t be so excited about a “point release”, but this is clearly the biggest interim software release in recent SEMulator3D memory. We’ve […]

What will the next 30 years of MEMS bring?

Coventor attended the Solid State Sensors, Actuators and Microsystems Conference last week, known simply as “Hilton Head” to the North American MEMS and nanotechnology community. This is a delightful conference […]

Challenges in 3D NAND Flash Processing

With 2D planar NAND flash hitting scaling issues at sub-20nm technology nodes, 3D NAND flash has become all the rage. Instead of restricting memory cells to a single plane and […]

Sneak Peak: New Capabilities for Micro Scanning and Projection Mirrors

Once again we are entering the final phase of a MEMS+ release cycle. We are tying up loose endsfor for another exciting release, over the summer, of our unique MEMS […]

Are MEMS bolometers the next big thing?

I often feel that Coventor is in the crow’s nest for spotting trends in the MEMS industry because our customers use design and simulation software early in the product development […]

SEMulator3D 2014: Why this is big news

We’re right on the cusp of the SEMulator3D 2014 release. This has been a big release in the making, and I know I’m not alone in my excitement as we […]

Some Thoughts on 3D Integration and How to Better Understand its Complexity

As semiconductor technology scales into the 20nm node and beyond, the process complexity, electrical performance and circuit density tradeoff becomes extremely difficult to optimize. As the demand for increased density, […]

3D printed model of FinFET attracts attention at SPIE Conference

3D printing has become all the rage in many areas, from home hobbyists to high-end industrial applications. The convenience, flexibility, functionality and decreasing price for printing things in 3D makes […]

IEEE Conference Highlights the MEMS Opportunity

The recent IEEE Conference on MEMS, held in San Francisco, was one of the better gatherings of its sort, partly due to the location and its proximity to so many […]

This is not your Father’s TCAD

When I started my semiconductor career, in the midst of quarter-micron CMOS, the work of technology development was very different. We basically knew how to fabricate transistors and interconnects. The […]

MEMS 2014 Conference in San Francisco

One of the highlight events every year on the MEMS calendar is the IEEE International Conference on MEMS. This is a prestigious gathering that attracts the true thought leaders in […]

Best wishes for the holidays from Coventor

From your friends and partners at Coventor around the world, we wish you best wishes for a safe and happy holiday season, and a prosperous New Year.As always, we are […]

Industry experts debate next generation of process development challenges

At the 2013 edition of the IEDM conference held this month in Washington, DC, some of the brightest minds in the design and manufacture of semiconductors gathered to discuss trends […]

Toward Smarter Design of Smart Systems

Without MEMS today’s smart phones wouldn’t be called “smart”. Be it motion sensing with accelerometers and gyroscopes, noise cancelling with multiple microphones, multi-band radios with tunable RF MEMS capacitors, MEMS […]

MEMS+ 4.0: Removing the Barrier between MEMS and ASIC designers

MEMS sensors never stand on their own – there’s always an accompanying ASIC that conditions the MEMS output or controls the MEMS. We’ve written frequently in past blogs and white […]

Virtual Fabrication: Not just for ICs. Better insight into manufacturing helps MEMS designers, too.

With the current focus on IC processing challenges at sub-20nm device length scales, interest in micron-scale wafer processing seems to be out of the limelight. However, in the world of […]

Predicting the Future of MEMS

Technology market analysts have a long and storied history of making bold predictions and eye-opening forecasts for growth in the industries they follow. Many, if not most, of these tend […]

Our persistent quest for more accuracy, speed and capacity

During a visit to a prospective customer a few months ago, a MEMS design manager told me that her philosophy is that a simulation is not worth doing if it […]

A Trillion Sensors? Not so unbelievable

There is no doubt that MEMS is an interesting market to watch within the semiconductor sector. Various market researchers forecast it to continue to outpace the growth of the overall […]

When will we get 3D NAND Flash Memory???

It’s about time for 3D NAND Flash, the agreed-upon “future of memory technology” to stop being the future and start being the present. The concepts all make sense. DRAM scaling […]

Will there ever be a “standard” MEMS process?

The lack of a standard MEMS process has long been bemoaned by those inside and outside the MEMS industry. Standard CMOS processes, after all, have been a key enabler of […]

IBM, Coventor present 22nm virtual fabrication success at SISPAD

IBM and Coventor jointly presented a paper at the 2013 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD). The paper presents a technology development methodology that relies on […]

Coventor provides a chapter for latest book on MEMS design: System-level Modeling of MEMS.

A new and very comprehensive book on MEMS design is now available, and we are proud to point out that a couple of Coventor MEMS experts have provided the first […]

New MEMS Design Ecosystem Evolving to Meet Changing Market Demands

The MEMS market is exploding as smart phones, tablets, games and other mobile devices swallow billions of components. Motion processing and location sensing technologies are central to the functionality of […]

Latest foundry results underscore the change in MEMS ecosystem

There’s little doubt that the MEMS ecosystem is changing quickly as these devices become ubiquitous, especially in consumer products. The cost and time pressures involved in developing cell phones, games, […]

Standardization plays an important role in MEMS integration

Easier integration through standards In a previous post, I discussed the challenges of MEMS integration and primarily looked at design methodology improvements that could help address the issues engineers face. […]

MEMS integration: A Matter of Perspective

MEMS integration means different things to different audiences. To pioneers in the MEMS industry, integration may imply a monolithic fabrication process, in which the MEMS and accompanying CMOS electronics are […]

Coventor’s Stephen Breit Highlighted as Featured Speaker at MEPTEC MEMS Symposium

Coventor’s Stephen Breit will be one of the featured speakers at the upcoming MEPTEC MEMS Technology Symposium.  Dr. Breit, who is V.P. of Engineering at Coventor,  will speak about realizing […]

The Value of Time

It was an honor to participate in the MEMS Forum sponsored by SEMI and A-Star Institute of Microelectronics.   Coventor had the pleasure of sharing the stage with founding members of […]

MEMS market is Exploding – Are you Ready for the Challenges of Consumerization?

Revenue growth for MEMS is expected to be nothing short of explosive according to industry analysts and recent press reports. Jérémie Bouchaud, director and principal MEMS and sensors analyst for […]

Coventor Gets to Know MEMS Community in Israel

On Tuesday March 12, Coventor hosted an informational seminar in Caesarea, Israel with the help of local MEMS company, Maradin, who provided very generous assistance in organizing the event, helping […]

Coventor showcases MEMS design advances at IEEE MEMS 2012

Coventor had its latest MEMS design solutions on display at the recent IEEE MEMS 2012 conference in Paris. The annual gathering of MEMS experts attracted more than 700 attendees from […]

WiSpry RF MEMS wins big with Samsung Flash

Congratulations to our friends at WiSpry on their recent big design win for enabling the first mass-produced RF MEMS-enabled wireless handset. The company’s unique WS2017 Tunable Impedance Match (TIM) circuit […]

Intel Announces Production-Ready 22nm 3-D Tri-Gate Transistor

Yesterday Intel announced its readiness for high-volume manufacturing of 3-D tri-gate (FinFET) transistors. Among other benefits, the tri-gate configuration allows Intel to manufacture higher performance fully-depleted devices without resorting to […]

Process Simulation vs. Process Emulation: is SEMulator3D really TCAD?

I was interested to note that Silvaco has recently listed SEMulator3D as a competitor for their VICTORY Process Cell software on their website. It’s great to be mentioned as a […]

New Release of SEMulator3D® Now Available

  We are pleased to announce that the 2011 version of Semulator3D is now available to customers. The production proven tool, used by some of the world’s leading IC and […]

Virtual fabrication is a more productive way to build integrated MEMS/CMOS systems than process simulation.

Dalsa Semiconductor and Coventor have published an article on the use of virtual fabrication for MEMS processing. Virtual fabrication process emulation backed up by experimental calibration is a more productive […]