• Skip to main content
  • LOG IN
  • REGISTER
Coventor_New_LogoCoventor_New_LogoCoventor_New_LogoCoventor_New_Logo
  • COMPANY
    • ABOUT
    • CAREERS
    • PRESS RELEASE
    • PRESS COVERAGE
    • EVENTS
  • PRODUCTS
    • SEMulator3D®
      Semiconductor Process Modeling
    • CoventorMP®
      MEMS Design Automation
      • CoventorWare®
      • MEMS+®
  • SOLUTIONS
    • SEMICONDUCTOR SOLUTIONS
    • MEMS SOLUTIONS
  • RESOURCES
    • CASE STUDIES
    • BLOG
    • VIDEOS
  • CONTACT
  • SUPPORT
Contact Us
✕
  • Home
  • Coventor Blog
  • Understanding Advanced Packaging Technologies and Their Impact on the Next Generation of Electronics
Figure 4: SEMulator3D model of the Spacer 1 Oxide Fin CD after PMC.   The oxide spacer is turquoise, and the red etch stop layer is amorphous silicon. TEM image with the SEMulator3D image aligned to show visual comparison. Note that sidewall angle and line to line measurements can be used with Process Model Calibration to tune for the deformation caused by the mandrel removal.  
Process Model Calibration: The Key to Building Predictive and Accurate 3D Process Models
July 21, 2020
Figure 2:  Double Backplate MEMS Microphone MEMS+ Model Example
An Explanation of New MEMS Microphone Technology and Design
September 14, 2020

Understanding Advanced Packaging Technologies and Their Impact on the Next Generation of Electronics

Published by Sandy Wen at August 24, 2020
Categories
  • Coventor Blog
Tags
  • chip packaging
  • Technology Reviews
Figure 2: Example of a device package.

Figure 2: Example of a device package.

Chip packaging has expanded from its conventional definition of providing protection and I/O for a discrete chip, to encompassing a growing number of schemes for interconnecting multiple types of chips. Advanced packaging has become integral to embedding increased functionality into a variety of electronics, such as cellular phones and self-driving vehicles, by supporting high device density in a small footprint. Advances in the chip packaging industry have even caused the IEEE Components, Manufacturing and Technology Society (CPMT) to change its name in 2017 to the Electronics Packaging Society, broadening its initial technical scope [1].

One type of advanced packaging technology is called “wafer level packaging” (WLP), in which an integrated circuit is packaged while still part of the wafer.   This type of packaging can create a wafer package that is nearly the same size as the original die. An example of wafer level packaging is the Embedded Wafer Level Ball Grid Array (eWLB), which was originally developed by Infineon in the late 2000’s [2].  Variations of this package type are in use by a number of OSATs today. In this packaging scheme, known good dies are placed face-down on a carrier wafer, then embedded in an epoxy mold. The die-mold combination forms a reconstituted wafer, which is then processed to form redistribution layers (RDLs) with bumps on the exposed die faces for “fan-out”  redistribution.  The reconstituted wafer is subsequently diced prior to final use (Figure 1).

Figure 1: eWLB processing

Figure 1: eWLB processing

Other advanced packaging technologies used in combination with wafer-level packaging are illustrated in Figure 2.

A through silicon via (TSV) is a vertical interconnect that passes entirely through a silicon substrate. In Figure 2, the TSV is depicted within a silicon interposer, where the interposer provides an electrical interface between the high density die and its packaging connections.  Initially promoted as an alternative to wire-bonding, TSVs enable multi-die stacking for 3D integration, while optimizing electrical resistance by minimizing interconnection lengths.

RDLs are conductive interconnects  that redistribute electrical connections to die pads for I/O; they can be located on one or both sides of a die. To keep up with today’s bandwidth and I/O requirements, RDL line widths and pitch requirements are increasingly shrinking, and are being processed similarly to BEOL (back end of line) connections using copper damascene processing to enable smaller line widths. Likewise, instead of conventional solder bumps, copper pillars are being used to achieve fine-pitch connections between dies.

Figure 2: Example of a device package.

Figure 2: Example of a device package.

Advanced packaging technology continues to evolve and to support increased device density and I/O connectivity. A recently developed technology, copper hybrid bonding, could circumvent pitch limitations for bumping by direct bonding copper and dielectric on one surface to corresponding regions on another active surface. We eagerly await these new innovations in packaging that will enable the next generation of advanced electronics.

References

[1] IEEE Electronics Packaging Society. https://eps.ieee.org/about/eps-history.html

[2] M. Brunnbauer, E. Fürgut, G. Beer and T. Meyer, “Embedded wafer level ball grid array (eWLB),” 2006 8th Electronics Packaging Technology Conference, Singapore, 2006, pp. 1-5, doi: 10.1109/EPTC.2006.342681.

Share
Sandy Wen
Sandy Wen
Sandy Wen is a semiconductor and process integration engineer at Coventor. Previously, she worked at Applied Materials in the Etch business group in various engineering functions, including chamber engineering and yield enhancement solutions. Sandy received her MS in EE from UCLA, and BS in EECS from UC Berkeley.

Related posts

Figure 1a (left) displays the process of performing Physical Vapor Deposition (PVD), including Cu bombardment and filling of voids. Figure 1b (right) displays the process of performing Ion Beam Etch (IBE), including ion beam bombardment, mask shadowing and etch regions.

Fig 1a Physical Vapor Deposition (PVD); Fig 1b Ion Beam Etch (IBE)

March 22, 2023

A Deposition and Etch Technique to Lower Resistance of Semiconductor Metal Lines


Read more - A Deposition and Etch Technique to Lower Resistance of Semiconductor Metal Lines
Left to right: SEMulator3D virtual structures of NON, Low K and Airgap spacers for a DRAM cell, with highlighted SiO2, Polysilicon, Silicon, Si3N4, TIN and W layers

Fig. 1: (a) NON, (b) Low k and (c) Airgap spacer

February 28, 2023

A Comparative Evaluation of DRAM bit-line spacer integration schemes


Read more - A Comparative Evaluation of DRAM bit-line spacer integration schemes
Figure 1 contains physical drawings of a Disk Resonating Gyroscope. Figure 1(a) displays an isometric view of the layout, including the DRG body, grounded electrodes, and the input electrodes and sense electrodes for channels 1 and 2. Figure 1(b) shows a detailed view of the radial electrodes as an inset to Figure 1(a), displaying gaps, thicknesses and other dimensions in microns.

Figure 1: DRG. Figure a) isometric view of the layout. Figure b) details of the radial electrodes.

February 27, 2023

Using Machine Learning to Develop a Real-Time Model of a MEMS Disk Resonating Gyroscope


Read more - Using Machine Learning to Develop a Real-Time Model of a MEMS Disk Resonating Gyroscope
Picture of a young man using virtual reality glasses from the 2018 movie “Ready Player One” from Warner Bros.

An Explanation of the Metaverse and 5 MEMS Technologies Solutions That Will Soon Help Make It Happen

January 18, 2023

An Explanation of the Metaverse and 5 MEMS Technologies Solutions That Will Soon Help Make It Happen


Read more - An Explanation of the Metaverse and 5 MEMS Technologies Solutions That Will Soon Help Make It Happen

Comments are closed.

Product Information

  • Product Offerings
  • Technical Support & Training
  • Licensing
  • System Requirements

Resources

  • Blog
  • Case Studies
  • Videos
  • 2018 MEMS Design Contest

Company

  • About
  • Press
  • Partners & Programs
  • Contact
© Copyright Coventor Inc., A Lam Research Company, All Rights Reserved
Privacy Policy • Terms of Use
Contact Us
  • LOG IN
  • REGISTER